Quantus QRC 提取解决方案

主要优点

  • 大规模并行架构,可为设计提供高达5倍的快速签核级提取

  • 在 TSMC 完全认证低至10nm工艺,并为7nm设计做好了准备,也在其他代工厂得到支持

  • 支持设计相关性和收敛性,以及设计中的签核方法学

  • 极快的版图后仿真和特征提取的运行时间,比竞争产品高出2.5倍

  • EMIR解决方案,采用 Cadence Voltus™-Fi 定制功耗完整性解决方案,适用于FinFET设计

  •  


 

Cadence® Quantus™ QRC 提取解决方案是业界信任的签核级寄生参数提取工具。它是我们的设计方法的一个组成部分,包括了 Innovus™ Implementation System 和Virtuoso®平台。 Quantus QRC 提取解决方案基于大规模并行技术,包括一个集成的、得到代工厂认证的场解算器(Quantus FS)。因此,该解决方案可为片上系统(SoC)、定制数字、标准单元、IP、SRAM/位单元、存储器-IC、和定制模拟设计提供高达5倍的快速签核级提取和得到物理证明的精度。作为一个统一的工具,Quantus QRC提取解决方案支持在设计实施和签核期间的单元级和晶体管级提取。

随着高级工艺几何形状继续缩小,寄生提取在整个设计实现流程和验证阶段成为必要步骤。 Quantus QRC提取解决方案支持低至10nm的设计和早期7nm设计开始。对于FinFET设计,出现了新的建模挑战。例如,FinFET 3D器件结构的引入为寄生电容和电阻带来更复杂的参数。这些新的挑战需要在签出提取中的高水平的准确性。 Quantus QRC提取解决方案利用其强大的建模能力解决这些挑战,提供高精度的模型,并生成很小的网表,以实现更快的仿真和特性提取的运行时间。

 

产品中心